DataSheet.es    


PDF STLS2F01 Data sheet ( Hoja de datos )

Número de pieza STLS2F01
Descripción High performance 64-bit superscalar MIPS microprocessor
Fabricantes STMicroelectronics 
Logotipo STMicroelectronics Logotipo



Hay una vista previa y un enlace de descarga de STLS2F01 (archivo pdf) en la parte inferior de esta página.


Total 48 Páginas

No Preview Available ! STLS2F01 Hoja de datos, Descripción, Manual

www.DataSheet4U.com
STLS2F01
Loongson 2F:
High performance 64-bit superscalar MIPS® microprocessor
Preliminary Data
Features
64-bit superscalar architecture
900 MHz clock frequency
Single/double precision floating-point units
New streaming multimedia instruction set
support (SIMD)
64 Kbyte instruction cache, 64 Kbyte data
cache, on-chip 512 Kbyte unified L2 cache
On chip DDR2-667 and PCI-X controller
4 W @ 900 MHz power consumption:
– Best in class for power management
– Voltage/frequency scaling
– Stand-by mode support
– L2 cache disable/enable option
Leading edge 90 nm process technology
27x27 heat spreader flip-chip BGA package
MIPS based instruction set (MIPS III
compatible)
Description
The STLS2F01 is a MIPS based 64-bit
superscalar microprocessor, able to issue four
instructions per clock cycle among six functional
units: two integer, two single/double-precision
floating-point, one 64bit SIMD and one load/store
unit.
The micro architecture is organized with nine-
stage of pipeline and support of dynamic branch
prediction.
HFCBGA452 (27x27x2.9mm)
The memory hierarchy is composed by the first
level of 64 Kbyte 4-way set associative caches for
instructions and data, the second level of
512 Kbyte unified 4-way set associative cache
and the memory management unit (MMU) with
translation lookaside buffer (TLB).
The Loongson microprocessor family is the
outcome of a successful collaboration started in
2004 between STMicroelectronics and the
Institute of Computing Technology, part of the
Chinese Academy of Science. Loongson
microprocessors were co-developed by
STMicroelectronics and the Institute of
Computing Technology to address all the
applications requiring high level of performance
and low power dissipation.
Compared to the STLS2E02 processor, the
STLS2F01 has an enhanced architecture
providing higher performance, reduced power
consumption, integrated DDR2 memory controller
and PCI-X bus interface.
Table 1. Device summary
Part numbers
STLS2F01
Package
HFCBGA452 (27x27x2.9mm)
Packing
Tray
May 2008
Rev 1
This is preliminary information on a new product now in development or undergoing evaluation. Details are subject to
change without notice.
1/48
www.st.com
1

1 page




STLS2F01 pdf
www.DataSShTeeLtS4U2.Fco0m1
List of figures
List of figures
Figure 1.
Figure 2.
Figure 3.
Figure 4.
Figure 5.
Figure 6.
Figure 7.
Figure 8.
Figure 9.
Figure 10.
Figure 11.
Figure 12.
Figure 13.
Figure 14.
Block diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6
Interface signal block diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
STLS2F01 uniprocessor system connection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18
STLS2F01 multiprocessor system connections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18
Local bus read timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19
Local bus write timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20
DDR2 SDRAM read protocol . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22
DDR2 SDRAM write protocol . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22
Initialization process when in main bridge mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36
Reflow temperature to time curve . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41
Pin arrangement (left-hand side) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43
Pin arrangement (middle) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44
Pin arrangement (right-hand side) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45
HFCBGA452 mechanical data & package dimensions . . . . . . . . . . . . . . . . . . . . . . . . . . . 46
5/48

5 Page





STLS2F01 arduino
www.DataSShTeeLtS4U2.Fco0m1
Interface description
2.4
Local bus signals
The local bus provides a simple bus interface for system boot ROM and I/O device. The
interface is designed for chip-connect simplicity.
The local bus signals are listed in Table 4.
Table 4. Local bus signals
Name
Input/output
LIO_AD[15:0]
LIO_A[7:0]
LIO_CSn
LIO_ROMCSn
LIO_WRn
LIO_RDn
LIO_ADLOCK
LIO_DIR
LIO_DEN
I/O
O
O
O
O
O
O
O
O
Description
Local I/O address and data bus
When ADLOCK valid output the most significant 16 bits
Lowest significant 8-bit address bus
Local I/O chip select
Local I/O ROM chip select
Local I/O write enable
Local I/O read enable
Local I/O address lock
Local I/O direction
Local I/O device enable
11/48

11 Page







PáginasTotal 48 Páginas
PDF Descargar[ Datasheet STLS2F01.PDF ]




Hoja de datos destacado

Número de piezaDescripciónFabricantes
STLS2F01High performance 64-bit superscalar MIPS microprocessorSTMicroelectronics
STMicroelectronics
STLS2F02High performance 64-bit superscalar MIPS microprocessorSTMicroelectronics
STMicroelectronics

Número de piezaDescripciónFabricantes
SLA6805M

High Voltage 3 phase Motor Driver IC.

Sanken
Sanken
SDC1742

12- and 14-Bit Hybrid Synchro / Resolver-to-Digital Converters.

Analog Devices
Analog Devices


DataSheet.es es una pagina web que funciona como un repositorio de manuales o hoja de datos de muchos de los productos más populares,
permitiéndote verlos en linea o descargarlos en PDF.


DataSheet.es    |   2020   |  Privacy Policy  |  Contacto  |  Buscar